--Importation des bibliothèques library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- Description de l'interface externe entity COMPARATEUR1bit is port( A,B : IN STD_LOGIC; S : OUT STD_LOGIC; end COMPARATEUR1bit; -- Description structurelle architecture EQU_LOG of COMPARATEUR1bit begin S <= not(A xor B); end EQU_LOG;